基于VHDL的四路抢答器设计


library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity carrie is
 port
 (
    CLK:std_logic;   --扫描脉冲
  host  : in std_logic;  --主持人信号
  answer  : in std_logic_vector(3 downto 0);  --抢答信号
  light  : out std_logic_vector(3 downto 0)   --指示灯
 );
end entity;
architecture rtl of carrie is

signal lock:std_logic;   --定义一个‘锁’信号使得一人抢答后其他人不能再抢答
begin
 process (host,answer,CLK)
 begin
  if(host='0')then   -- 主持人清零
   light<="0000";   --清零
    lock<='0';
  elsif (HOST='1')then    --主持人置‘1’,开始抢答
    IF(LOCK='0') THEN    --控制 信号,完成只能有一路选通
    IF(CLK'EVENT AND CLK='1') THEN        --脉冲扫描
   case answer is
   when "1000"=>light<="1000";lock<='1';   --lock 信号使得一人抢答后其他人不能再抢答上
   when "0100"=>light<="0100";lock<='1';
   when "0010"=>light<="0010";lock<='1';
   when "0001"=>light<="0001";lock<='1';
   when others=>light<="0000";
   end case;
  end if;
end if;
end if;
 end process;
end rtl;


				
时间: 2024-09-29 12:25:04

基于VHDL的四路抢答器设计的相关文章

基于VHDL的四路抢答器设计(程序)+注释

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity carrie is port ( CLK:std_logic; --扫描脉冲 host : in std_logic; --主持人信号 answer : in std_logic_vector(3 downto 0); --抢答信号 light : out std_logic_vector(3 downto 0) --指示灯 ); end ent

基于VHDL的四路抢答器设计(程序)

library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity carrie is port ( CLK:std_logic; --扫描脉冲 host : in std_logic; --主持人信号 answer : in std_logic_vector(3 downto 0); --抢答信号 light : out std_logic_vector(3 downto 0) --指示灯 ); end ent

谁有6路抢答器的设计呀?求大神帮忙!!!

问题描述 谁有6路抢答器的设计呀?求大神帮忙!!! (1)以单片机为核心,设计一个6位竞赛抢答器:同时供6名选手或6个代表队比赛,分别用6个按钮S0-S5表示.(2)给节目主持人设置5个控制开关,用来控制系统的清零和抢答的开始及各种时间的调节控制:(3)抢答器具有数据锁存功能.显示功能和声光提示功能:(4)主持人可以通过两个时间调节键来调节抢答限制时间和答题限制时间.需在主持人按下抢答开始按钮后抢答方可开始,且各个环节都有相应时间限制. 最好是用C语言编程的

单片机 倒计时-谁能帮我设计一个89c51的一个八人抢答器的汇编程序,万分感谢!!!

问题描述 谁能帮我设计一个89c51的一个八人抢答器的汇编程序,万分感谢!!! 1.数码管前一位显示抢答,后两位显示倒计时60s 2,p1.2为开始按钮 解决方案 //以前做过的,需要按你的要求改一改,望采纳. #include #include #include #define uchar unsigned char #define uint unsigned int #define D8279 XBYTE[0x5EFF] //8279 数据口地址 #define C8279 XBYTE[0x

基于JSP的RSS阅读器的设计与实现方法(推荐)_JSP编程

阅读器访问地址:http://easyrss.tk/,欢迎体验! 阅读导览 一. 概述 二. 设计的基本概念和原理 三. 设计方案 四. 主要源代码 五. 阅读器使用说明 概述 获得信息是在人类的生活中是必不可少的环节.如果现在的社会对获得信息不快捷,那么这个社会将不会像如今这般的发达和进步.在当今网络技术相当发达的今天,大量的信息充斥在网上.现在网络越来越发达,用户在网上既能工作也能娱乐.当用户在网上需浏览很多个网站才能获取自己多需的信息时,那就感觉很累.因为现在每个网站都有很多信息,找到自己

基于Web在线考试系统的设计与实现

这是一个课程设计的文档,源码及文档数据库我都修改过了,貌似这里复制过来的时候图片不能贴出,下载地址:http://download.csdn.net/detail/sdksdk0/9361973   数据库原理课程设计说明书              基于Web在线考试系统的设计与实现             目  录   1 课题背景与意义.3 1.1课题开发背景.3 1.2 课题开发意义.3 2 系统需求分析.4 2.1 项目要求.4 2.2 开发方案.5 2.3开发环境.5 3 总体开发.

c++播放器-qt基于mplayer的多路视频播放器

问题描述 qt基于mplayer的多路视频播放器 主要功能是同步播放最多四路视频,也可以放大某一通道进行单路回放,如果单纯只为了播放就没有问题,但是在多路回放的时候我要实时显示播放进度以及进度条的跟进和进度条拉动进行视频的跳转不好实现,如果是单一通道播放就没问题,求大神指教,其他只要是基于c++的开发工具都可以,求条明路,如果可以的话,大神加我qq941290482或者留下您的QQ!

cmus-v2.4.0-rc0发布 基于终端的音乐播放器

cmus (C*音乐球员)是一个小和快速的控制台 音频球员 为 Unix象 操作系统. cmus在条件下被分布 GNU公众执照 (GPL)并且通过a完全操作 只许文字接口用ncurses修造. 只许http://www.aliyun.com/zixun/aggregation/9012.html">文字设计浩大地减少必要的资源运行节目,做出它一个强的选择为非常轻的计算机并且系统,一个图解环境(例如 x窗口系统)不是可利用的. 通过消灭对老鼠的用途,节目在一些实践以后比它的老鼠被驾驶的相对物

基于vhdl的交通灯控制程序,需要管教配置图,

问题描述 基于vhdl的交通灯控制程序,需要管教配置图, 基于vhdl的交通灯控制程序,需要管教配置图,,能在实验箱上实现 解决方案 http://www.docin.com/p-720997369.htmlhttp://wenku.baidu.com/link?url=RjrbDZu_vShXsVaEbTVNcgkJL2D1ztNAIZ0Pbh4dY2QtbZ2_gApTUswiRW3VnvA8_72tjZ6ui4lLkDHb_JsGcgffNxs_R72yJHGvB0YhLJm管脚配置根据你