cic fpga-CIC设计代码不懂 求助啊

问题描述

CIC设计代码不懂 求助啊

这是从该社区下载下来的CIC代码 但是有点看不懂 所以哪位大神帮帮忙 给注释一下吧
library IEEE;
use IEEE.std_LOGIC_1164.ALL;
use IEEE.std_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity CIC is
Port(sysclk:in std_logic;
ena:in std_logic;
datain:in std_logic_vector(11 downto 0);
dataine:out std_logic_vector(15 downto 0);
dataout:out std_logic_vector(15 downto 0));
end CIC;

architecture Behavioral of CIC is
signal datainext: std_logic_vector(27 downto 0);--29
signal acca: std_logic_vector(27 downto 0); --29
signal accb: std_logic_vector(27 downto 0); ---24
--signal accb_delay:std__logic_vector(27 downto 0);
signal accc: std_logic_vector(27 downto 0);
--signal accc_delay:std logic_vector(27 downto 0);
signal accd: std_logic_vector(27 downto 0);
signal accd_delay: std_logic_vector(27 downto 0);
signal combl: std_logic_vector(27 downto 0);
---signal comble: std logic vector(17 downto 0);
signal combl_delay: std_logic_vector(27 downto 0);---13
signal comb2: std_logic_vector(27 downto 0);
signal comb2_delay: std_logic_vector(27 downto 0);---12
signal comb3: std_logic_vector(27 downto 0);---12
Signal comb3_delay: std_logic_vector(27 downto 0);
Signal comb4: std_logic_vector(27 downto 0);
Signal comb4_delay: std_logic_vector(27 downto 0);
Signal decimate_count:std_logic_vector(3 downto 0);

begin

datainext(27)<=datain(11);
datainext(26)<=datain(11);
datainext(25)<=datain(11);
datainext(24)<=datain(11);
datainext(23)<=datain(11);
datainext(22)<=datain(11);
datainext(21)<=datain(11);
datainext(20)<=datain(11);
datainext(19)<=datain(11);
datainext(18)<=datain(11);
datainext(17)<=datain(11);
datainext(16)<=datain(11);
datainext(15)<=datain(11);
datainext(14)<=datain(11);
datainext(13)<=datain(11);
datainext(12)<=datain(11);
datainext(11 downto 0)<=datain(11 downto 0);

dataout<=comb4(27 downto 12);--(29 downto 14);

---dataine<=datain; --datain&"0000"
process(sysclk)
begin
IF ena='1' then
if sysclk='1' and sysclk'event then
decimate_count<=decimate_count+1;
---if sampleclk2=’1’then
acca<=acca+datainext;
---acca—delay<2accaj
accb<=accb+acca;
accc<=accc+accb;
accd<=accd+accc;
if decimate_count="1111"then ----Decimation Ratio=16
accd_delay<=accd;
combl_delay<=combl;
comb2_delay<=comb2;
comb3_delay<=comb3;
combl<=accd-accd_delay;
comb2<=combl-combl_delay;
comb3<=comb2-comb2_delay;
comb4<=comb3-comb3_delay;

end if;

end if;
end if;
end process;
end Behavioral;

时间: 2024-09-19 09:47:51

cic fpga-CIC设计代码不懂 求助啊的相关文章

基于FPGA的设计解决物联网实现的核心挑战

当前,物联网(IoT)已成为一个广受欢迎的名词,几乎每一个电子设备相互连接到互联网上加以使用,都被大家称为物联网.它包含了一个从智能家电.汽车到可穿戴设备相关的各种应用程序列表,并且这一列表仍在持续呈现爆炸式增长.但这种增长却恰恰带来了它的实战挑战,需要找到解决方案. 智能,连接的设备,和物联网的生态系统,他们正在帮助创造,致力于改变日常生活.对于个别的消费者,可能意味着使设备更有效和成本效益的日常任务,让他们更安全,甚至有助于确保他们过上更健康的生活.对于企业来说,物联网的承诺技术在自动化.能

局域网共享资源探测子系统设计代码有没有?

问题描述 局域网共享资源探测子系统设计代码有没有? 要求n 搜索指定的某个计算机的共享资源n 搜索所有计算机的所有共享资源n 将某个指定的共享目录映射到本地磁盘(映射网络驱动器)n 将搜索到的共享资源列表导出到文本文件n 对搜索结果进行排序

win2003自动启动-Windows 2003 SP1报错,提示:原因代码: 0x8000005 ~求助

问题描述 Windows 2003 SP1报错,提示:原因代码: 0x8000005 ~求助 Windows 2003 SP1报错,提示:原因代码: 0x8000005 ~求助 系统会经常自动重新启动!请高手解决 急求助! 解决方案 查看系统日志,分析具体错误的模块,错误信息

adapter-目前情况下怎么设计代码比较优雅(android)?

问题描述 目前情况下怎么设计代码比较优雅(android)? 原来的项目里有好多listview,为此为每个listview都单独写了adapter和item,现在设计改设计了,要求每个listview都能和微信通讯录一样有sortbar和分类栏,那么我原来的做法是给每个item上面添加上一个隐藏的分类栏,然后再adapter中选择要不要显示,但是现在listview太多了,这样效率很低,我要怎么设计代码才能方便的达到这个需求? 解决方案 直接将 数据按照字母排序A_z,然后item分为两类 标

一个查询功能,管理员,教师,专家,查询的结果不同,应该如何设计代码

问题描述 一个查询功能,管理员,教师,专家,查询的结果不同,应该如何设计代码 问题描述如下: 查询学生的信息.管理员可以查询所有人的信息.教师只能查询自己班级的信息.专家只能查询自己负责班级的信息. 现在代码结果,我在一个方法中做判断,这样查询也可以得到查询结果.但是我觉得,这样做的话,如果其他的功能中也有相似的权限设置,又要查询一次,也不符合面向对象的设计原则 .请帮忙解答,不盛感激! 解决方案 重新抽象对象设计,从你的描述中,可以这样抽象:被管理对象有:学生.班级(学生分组).管理对象有:教

fpga-基于FPGA 图像处理设计

问题描述 基于FPGA 图像处理设计 现在FPGA 通过摄像头采集来的图像处理后主要通过什么来显示 解决方案 基于FPGA的图像处理(三)--图像融合 解决方案二: 一般是电脑pc,其他的液晶也可以

printf-c语言代码问题~~求助~~~~~

问题描述 c语言代码问题~~求助~~~~~ 设甲乙两人同年出生,但月日不同.请计算甲乙的年龄差的绝对值.例如输入1997 2 27 3 10(表明甲乙出生在1997年,甲出生在2月27日,乙出生在3 月10日),则输出11(甲乙相差11天) 思路: 1.分别计算甲乙的生日是该年的第多少天(分别用n1,n2表示).计算该年的多少天,可通过函数调用实现; 2.年龄差绝对值计算 #include<stdio.h> int fun(int y,int m,int d) {int s=0; for(in

FPGA未来设计趋势:NI Single-Board RIO搭配全方位LabVIEW系统

NI发表NI Single-Board RIO GPIC,搭配全方位的NI LabVIEW系统设计工具组,不但可以大幅降低嵌入式系统设计成本.避免可能的相关风险,还能进一步针对智慧型电网电力转换系统提供标準RIO 架构.这项产品反映出 NI 研发部门针对新兴的数位能源转换系统,持续致力于投资并革新设计.测试与大型佈署作业.新系统提供一套标準的类比/数位 I/O 与 58 个 DSP 核心,全数内建于 FPGA 架构,可针对大部分的智慧型电网电力装置应用,满足特定的控制.I/O.效能与成本等需求,

基础 求助-求代码,求助,求助,去占用大神一点点时间啊!

问题描述 求代码,求助,求助,去占用大神一点点时间啊! 当用户输入的是类似1/23/5123/413之类的分数时候,怎么把/前面的数字赋值给一个变量,然后再把/后边的数字赋值给一个变量?求大神解决,好几天了,就是弄不好!跪谢了!求代码!C++大一学生谢过了! 解决方案 有个函数叫isdigit,就是判断是不是数字,你只要读取/前的内容就行了.或者用scanf(""%d/%d"" &n &m)它会认为你需要输入一个/.老是求人,自己也不结贴,是否觉得惭